美国也要“抢”先进封装

论半导体谈人生 2024-08-02 22:16:34

毋庸置疑,在芯片设计、上游EDA、IP和设备领域,美国一骑绝尘,靠着芯片霸主地位,向全世界挥舞着镰刀。

但近几十年来,美国在半导体制造业的地位持续下降。1990年,美国控制着全球37%的半导体制造业务。而如今,这一份额已降至不足10%。

在供应链问题日益突出和重要的趋势下,芯片制造和产能成为业界趋之若鹜的新标的。其中,通过引入《芯片和科学法案》,美国表达了将半导体晶圆制造设施引入国内的愿望和野心。

随着台积电、Intel、三星等晶圆大厂纷纷宣布在美建厂,美国商务部提出芯片产业新目标:到2030年以前,产自美国的先进芯片占全球市场份额的20%。

另一边,近年来随着摩尔定律的放缓,导致芯片的性能增长边际成本急剧上升。同时,AI、高性能计算芯片等需求日益提升,先进封装成为行业追逐的另一个新风口。

先进封装解决了关键技术难题和芯片制造的经济效益问题(图源:Yole)

半导体行业的领军者们纷纷在先进封装领域投入巨资,为多芯片封装技术的发展奠定基础。据Yole Group数据预测,全球先进封装市场规模将由2022年的443亿美元,增长到2028年的786亿美元,年复合成长率(CAGR)为10.6%。

(图源:Yole)

在此背景和趋势下,为了充分保障整个半导体供应链的安全,发展先进封装也成为美国的新侧重点。

先进封装,美国如何发力?

政策和资金引导

美国《芯片和科学法案》于2022年8月颁布,计划拨款超过527亿美元资金,用于扶持美国半导体研发、制造和劳动力发展。近年来,美国政府已向包括GlobalWafers America、Rogue Valley Microdevices、Entegris、美光、三星、台积电、英特尔、格芯、微芯科技、安靠科技等10余家相关企业提供了优惠政策和投资补贴。

除此之外,美国还针对封装领域,另设专门的资金加大投资。

2023年11月20日,美国商务部国家标准技术研究院(NIST)发布《国家先进封装制造计划愿景》报告。美国政府在半导体产业新战略中强调,先进封装技术是制造最先进半导体的关键技术之一,加强美国先进封装技术能力对美国的半导体产业及其在全球市场中的竞争力至关重要。

美国商务部将投资约30亿美元推进国家先进封装制造计划(NAPMP),并将优先投资六大关键领域:

材料和衬底设备、工具和工艺供电和热管理光电和连接器Chiplet生态系统EDA与协同设计

绿色部分是技术类投资项目,蓝色是生态类投资项目

据悉,NAPMP计划预计将于2024年宣布NAPMP的第一个资助机会,针对材料和衬底领域。此外,为了保障新技术与工具的顺利运作,NAPMP计划还将涵盖人员培训项目,致力于为新流程和工具培养充足的专业人才。

NAPM项目通过以上6个领域的投资,希望研发出一系列的先进封装技术、设备、材料和工艺,以提升美国本土半导体制造和封测能力,并创造更多半导体工作机会。

同时,NAPMP作为CHIPS for America四大研发计划之一,旨在共同建立必要的创新生态系统,确保美国半导体制造设施研发出世界上最先进、最尖端的技术。

近日,美国商务部新发布一项意向通知(NOI),宣布将投入16亿美元用于支持美国本土芯片封装技术研发,以建立和加速国内半导体先进封装产能。正如美国NAPMP愿景所示,美国“芯片法案”计划预计将为五个研发领域的创新提供高达16亿美元的资金,各项目申请方提出申报后将通过竞争方式争取资金支持,单个项目政府资助上限为1.5亿美元。

《纽约时报》指出,美国在芯片封装领域对海外的依赖比芯片制造对海外的依赖还要大。目前全球芯片封装业大头集中在亚洲特别是中国台湾和韩国,而美国仅占全球芯片封装总量的3%。

此次宣布的16亿美元芯片封装支持资金是美国政府新设的NAPMP项目的组成部分,该项目旗下的总资金量将达到30亿美元左右。

此前,美国政府已向包括英特尔、SK海力士、Amkor和三星电子等在内的有关企业提供优惠政策,以吸引其在美国境内建立芯片封装工厂。美国商务部副部长洛卡西奥信心满满地宣称,在10年之内,美国就能建成本土芯片封装产业,届时美国和海外生产的尖端芯片都能在美国本土实现封装。

产业链厂商强势入局

● Amkor,美国OSAT独苗

目前美国有25家OSAT供应商,但并非所有供应商都能提供先进封装能力。其中美国最著名的OSAT供应商是Amkor。

2023年11月底,Amkor宣布投资约20亿美元,在美国亚利桑那州建造一座先进封装和测试设施,以实现有弹性的半导体供应链,建成后将是美国最大的OSAT先进封装设施。

Amkor称该先进封装和测试设施将为世界上最先进的半导体提供完整的端到端先进封装,用于高性能计算、人工智能、通信和汽车终端市场。并且,其先进封装技术如2.5D技术和其他下一代技术将被采用其中。

Amkor现有封装工艺介绍(图源:Amkor)

Amkor总裁兼首席执行官Giel Rutten表示:“美国半导体供应链的扩张正在进行中,作为美国最大的先进封装公司,我们很高兴能在增强美国先进封装能力方面发挥领导作用,并成为强大的美国半导体生态系统的一部分。”

7月26日消息,美国商务部宣布与Amkor签署了一份不具约束力的初步备忘录(PMT),美国政府将根据《芯片和科学法案》向Amkor授予至多4亿美元直接资金资助和2亿美元贷款。这笔拟议的资金将支持Amkor在亚利桑那州皮奥里亚的一个绿地项目投资约20亿美元和2000个工作岗位。

据了解,Amkor亚利桑那州皮奥里亚工厂的初始建设阶段预计为3年,即2027年投入运营。Amkor工厂与Intel Foundry和台积电在亚利桑那州的晶圆厂相邻,使用上述晶圆代工服务的芯片设计公司能够在该州封装他们的芯片。

据悉,苹果将成为该设施的第一个也是最大的客户,苹果已公开认可Amkor在亚利桑那州的封装设施,并表示将使用台积电和Amkor在亚利桑那州的服务来制造和封装其芯片。

从本质上讲,Amkor的设施实现了强大的国内半导体供应链,并将Amkor定位为无晶圆厂芯片设计公司和晶圆代工厂的关键合作伙伴。

此外,Amkor近年来一直在扩大其封装市场上的投资,并通过收购J-Devices和NANIUM S.A.两家公司进一步丰富了公司的产品线和技术能力。

● Intel,先进封装的佼佼者

作为美国本土的IDM和晶圆代工大厂,英特尔同样在积极布局先进封装。

通过多年技术探索,相继推出了EMIB、Foveros和Co-EMIB等多种先进封装技术,力图通过2.5D、3D和埋入式等多种异构集成形式实现互连带宽倍增与功耗减半的目标。

EMIB是英特尔在2.5D IC上的尝试,其全称是“Embedded Multi-Die Interconnect Bridge”。因为没有引入额外的硅中介层,而是只在两枚裸片边缘连接处加入了一条硅桥接层,并重新定制化裸片边缘的I/O引脚以配合桥接标准。

2018年12月,英特尔展示了名为“Foveros”的全新3D封装技术,这是继2018年推出突破性的EMIB封装技术之后,英特尔在先进封装技术上的又一个飞跃。

图源:Intel

英特尔在Foveros技术上首次引入3D堆叠的概念,可实现在逻辑芯片上堆叠逻辑芯片,进行横向和纵向之间的互连,凸点间距进一步降低为50-25um。

Foveros可以将不同工艺、结构、用途的芯片整合到一起,从而将更多的计算电路组装到单个芯片上,实现高性能、高密度和低功耗。该技术提供了极大的灵活性,设计人员可以在新的产品形态中“混搭”不同的技术专利模块、各种存储芯片、I/O配置,并使得产品能够分解成更小的“芯片组合”。

可以认为,Foveros为整合高性能、高密度和低功耗硅工艺技术的器件和系统铺平了道路。

2019年,英特尔再次推出了一项新的封装技术Co-EMIB,这是一个将EMIB和Foveros技术相结合的创新应用。Co-EMIB能够让两个或多个Foveros元件互连,并且基本达到单芯片的性能水准。设计人员也能够利用Co-EMIB技术实现高带宽和低功耗的连接模拟器、内存和其他模块。

英特尔先进封装技术路线图

(图源:Intel)

从英特尔的先进封装技术发展路线图能看到,其先进封装主要关注互连密度、功率效率和可扩展性三个方面。其中,Foveros和混合键合技术主要关注功率效率、互连密度方面,而Co-emib和ODI技术则体现了集成的可扩展性特点。

从Foveros到混合键合技术,英特尔逐渐实现凸点间距越来越小,使系统拥有更高的电流负载能力、更好的热性能。未来英特尔将继续致力于实现每毫米立方体里功能最大。

去年5月,英特尔发布了先进封装技术蓝图,计划将传统基板转为更为先进的玻璃材质基板。

英特尔先进封装技术蓝图(图源:Intel)

报道称,英特尔此举是对材料进行转换以实现超越现有塑料基板限制的高性能半导体的尝试。

随着3D封装的普及,厚度是一个更受关注的因素。通过垂直堆叠半导体来提高性能,其关键是减小基板的厚度。玻璃载板具有平坦的表面并且可以做得很薄,与ABF塑料相比,其厚度可以减少一半左右,减薄可以提高信号传输速度和功率效率。因此,英特尔有望通过玻璃载板改进3D封装结构。

另外,随着ChatGPT引发的计算需求暴涨,硅光模块中的CPO(共封装光学)技术作为优化算力成本的关键技术,发展潜力巨大。英特尔也正在布局于此。

与传统的光模块相比,CPO在相同数据传输速率下可以减少约50%的功耗,将有效解决高速高密度互连传输场景下,电互连受能耗限制难以大幅提升数据传输能力的问题。与此同时,相较传统以III-V材料为基础的光技术,CPO主要采用的硅光技术具备成本、尺寸等优势。

过去很长时间内,英特尔的封装技术主要用在自家产品上,对市场造成的影响较小。而随着英特尔提出IDM 2.0发展策略,晶圆代工业务成为英特尔重要转型项目,除了为高通等无厂半导体企业代工制造以外,其封装技术也是英特尔极力推销的对象。英特尔表示,客户可选择由台积电、GF等进行代工,之后利用英特尔技术进行封装、测试,这一模式将为客户带来更灵活的产品制造方式。

英特尔强调,目前已经与全球前10大芯片封装厂旗下客户进行洽谈,并且获得Cisco、AWS在内业者青睐。

据悉,英特尔积极布局先进封装技术和产能,除了在美国新墨西哥州、亚利桑那州,也正扩充马来西亚槟城新厂和波兰建厂计划,进一步巩固其在全球先进封装领域的地位。

● 积极吸引外企赴美

与此同时,美国的补贴政策不仅吸引了本土企业的积极参与,还吸引了国际企业的关注和投资。在《芯片和科学法案》的激励下,已有多家外国企业计划将封装项目落地美国。例如,韩国芯片制造商SK海力士公司计划在美国投资150亿美元建立先进的封装设施;三星投资400亿美元用于在美国德克萨斯州进行芯片制造,其中包括一项建设先进封装工厂的计划,三星位于德克萨斯州的新工厂将具备2.5D和HBM封装能力;台积电也正在与亚利桑那州谈判,可能在该州建设先进封装厂。

● EDA工具供应商

芯片设计是一个高度复杂的、长期的过程,如果没有EDA工具的帮助,几乎不可能创建芯片设计。EDA工具对于先进封装操作也很重要,各种类型的EDA工具用于对封装的可靠性、封装天线的设计以及封装设计的许多其他方面进行建模和分析。

Synopsys、Cadence和Ansys(已被Synopsys收购)等公司提供扩展的EDA工具选项来进行芯片设计和封装。例如,Cadence 最近开始提供其 3DIC SiP 仿真工具库存;借助Ansys,可以在AiP中建模和设计天线。因此,美国主要的EDA工具公司提供了设计先进封装所需的EDA工具。

此外,Synopsys近日还宣布了进一步扩大与台积电的合作,双方携手通过可支持最新3Dblox 2.0标准和台积公司3DFabric™技术的全面解决方案不断优化多裸晶系统(Multi-Die)设计。

Synopsys多裸晶系统解决方案包括“从架构探索到签核”统一设计平台3DIC Compiler,可提供行业领先的设计效率,来实现芯片的容量和性能要求。此外,Synopsys UCIe IP也已在台积公司领先的N3E先进工艺上取得了首次通过硅片的成功,实现die-to-die高速无缝互连。

同时,Synopsys和Ansys持续合作,将Synopsys 3DIC Compiler和Ansys多物理分析技术相集成,提供系统级效果的签核准确性。Synopsys 3DIC Compiler还可与Synopsys测试产品互操作,以确保批量测试和质量。

3DIC互连的全景图(图源:IEEE)

此外,Synopsys 3DIO平台提供灵活性、可扩展性和最佳性能。

据了解,Synopsys 3DIO平台专为多芯片异构集成而调整,提供多功能解决方案,实现3D堆叠中功率、性能和面积(PPA)的最佳平衡,以满足新兴封装需求。此外,该平台还能加快时序收敛,这是芯片对芯片集成中的关键挑战。

Synopsys 3DIO平台架构支持2.5D、3D和SoIC封装(图源:Synopsys)

Synopsys的3DIO平台为客户提供多功能解决方案,以实现可调的集成多芯片设计结构。新思科技 3DIO平台的最优面积经过精心设计,以适应BUMPs,在实现和信号布线方面提供显著优势。在3D堆叠技术中,用于信号传输的源同步时钟设计可以帮助客户实现更低的BER并简化时序收敛。Synopsys 3DIO平台专为多芯片集成而量身定制,使客户能够创建高效的芯片设计,并加快上市时间,利用Synopsys 3DIC编译器加速集成并为给定技术提供优化的PPA。

除了3DIO平台外,Synopsys多芯片解决方案还包括UCIe IP和HBM3 IP等。

● 设备供应商

封装制造的不同阶段使用各种类型的设备,例如切割、引线键合、微凸块和混合键合等。比如在WLP中,需要对晶圆进行切割,然后在晶圆顶部形成RDL,此步骤需要用于芯片制造的传统光刻设备;倒装芯片键合设备通过将芯片上的焊料凸块精确定位并键合到基板上相应的焊盘上,将IC芯片直接连接到基板或PCB上,从而实现高密度互连。以及各种测试和检验设备用于验证封装IC的功能和质量,这包括自动光学检测 (AOI) 系统、X 射线检测机、电气测试仪和其他专用测试工具等。

在设备领域,应用材料和泛林集团是美国半导体行业和先进封装设备供应商的典型例子。除此之外,KLA、Onto Innovation、Nordson、Thermo Fisher Scientific 和 Bruker 还提供各种满足测量需求的设备。美国公司为制造过程的各个阶段提供必要的检验和测量工具。

● 材料供应商

半导体先进封装需要复杂的制造和工艺流程,例如切割晶圆、将其放入模具和引线键合、堆叠或封装芯粒。在工艺流程的不同阶段,需要不同的原材料,例如介电材料、引线框架、粘合剂、密封剂和模塑料等是封装芯片最关键的材料。

该图解释了典型的晶圆级封装工艺流程,每个步骤所需的材料不同

从供应链来看,尽管美国有封装所需原材料的供应商,但大部分材料由日本、中国大陆和中国台湾供应。美国目前拥有10%的半导体材料市场份额,这可能会给包括先进封装在内的半导体供应链带来漏洞。为了支持先进封装制造,美国正在进一步加强投资来确保原材料供应链的安全。

例如去年11月,日本半导体材料制造商Resonac宣布,将在美国硅谷建立一个先进半导体封装和材料研发中心。Resonac的前身是昭和电工,是薄膜等包装材料的领先制造商,计划2025年在新中心开始营运。

今年5月,美国商务部表示,计划向Absolics(韩国SK集团旗下SKC的美国子公司)拨款7500万美元,用于在佐治亚州建造一座12万平方英尺的工厂,为美国的半导体行业供应先进材料。该奖励还将支持佐治亚州卡温顿的1000个建筑工作岗位和200个制造和研发工作岗位。Absolics的玻璃基板允许将处理芯片和存储芯片封装到单个设备中,从而实现更快、更高效的计算。

此外,关于在建的晶圆厂或代工厂是否也计划整合先进封装业务,目前还没有足够的公开信息。因此,不仅需要确保IDM和代工厂计划发展先进封装业务,还需要鼓励第三方OSAT企业在美国发展能力、产能并做好准备,以满足未来对先进封装业务的需求。

对于美国发展先进封装制造计划的影响和启示,笔者认为:

1)旨在加强美国产品和技术优势:依据计划主要投资领域,未来将补贴在美国生产的先进封装产品,降低其研发、制造、生产成本,加强其产品竞争力。

2)美国并未放缓关键领域“去风险化”步伐:美国商务部在宣布NAPMP计划时表示,“在美国制造芯片,然后把它们运到海外进行封装,会给供应链和国家安全带来风险,这是无法接受的”。因此,在美国芯片法案的激励下,已经有不少外国企业计划将封装项目落地美国。以该计划为核心打造的产业联盟体系将会在未来有更大的话语权,构建其内生的产业生态壁垒。

写在最后

从去年底开始,美国政府启动了《芯片和科学法案》的拨款,为美国半导体研发、制造和劳动力发展提供了527亿美元。此外,该计划还为半导体和相关设备制造的资本支出提供25%的投资税收抵免。

在政策和资金的支持下,刺激了大量半导体制造业务的重组活动。半导体制造设施的建设在美国蓬勃发展,促进美国本地的芯片生产规模和能力。

与之对应的是,如果美国不能建立一个稳固而强大的先进封装生态系统,那么全国各地的新生产设施所生产的芯片将不得不像以前一样被送往海外设施进行封装。上文提到,这会给供应链和国家安全带来风险,这也是美国无法接受的。

因此,美国对先进封装制造能力也给予了相当的重视。

但环顾产业现状,先进封装市场的参与者和商业模式正在不断扩大和演变,这一领域的竞争变得更加激烈,其他国家也在积极发展该产业。美国此次在先进封装领域的大规模投资和积极布局,也容易引发其他国家和地区加大对先进封装产业的投入,美国的先进封装产业或许也将面临来自其他国家的新的竞争压力。

另一方面,尽管美国在晶圆制造和先进封装领域的投资力度不断加大,但人力成本较高和行业人才短缺仍是其面临的一个挑战。

据行业专家表示,美国半导体行业的劳动力发展遇到了一些关键挑战,如学生对硬件电子技术缺乏兴趣、课程过时而忽视现代半导体技术、人才留存问题以及师资和基础设施老化等。解决这些障碍对于促进该行业未来的发展和创新至关重要。

因此,为了在人才争夺战中保持竞争力,美国政府和企业正在探索再培训、自动化和扩大人才梯队等战略,尝试在国家层面投资和鼓励年轻一代,以满足晶圆制造厂、先进封装等行业需求并维持未来增长。

例如,《芯片和科学法案》已经激励了教育领域的重大活动,超过50所社区学院宣布开设或扩大半导体相关课程。英特尔、台积电、三星和美光等主要芯片制造商已分别拨出资金专门用于劳动力发展,作为其人才培养的一部分贡献。

总的来看,美国正在采取雄心勃勃的“全方位”行动计划,围绕晶圆制造、先进封装、人才培养等多项并举,致力于实现其重振半导体产业的野心。

深圳高质量发展与新结构研究院:美国国家芯片先进封装制造计划解析;

战略科技前沿:美国发布《国家先进封装制造计划愿景》报告;

0 阅读:0

论半导体谈人生

简介:感谢大家的关注